50695 晶圓代工,戰火蔓延

2018高清国产一区二区三区-国产黄色视频免费在线观看-欧美激情欧美精品一区二区-免费国产一级片内射老妇

服務熱線:400-858-9000 咨詢/投訴熱線:
國內專業的一站式創業服務平臺
晶圓代工,戰火蔓延
06/24
長期以來,在摩爾定律的驅動下,晶圓代工廠一直緊追芯片制程工藝一路向前。時至今日,這場決賽的最后僅剩臺積電、三星和英特爾,在先進制程節點展開肉搏。
本文來自于微信公眾號“半導體行業觀察”(ID:icbank),作者: L晨光,投融界經授權發布。

近(jin)年(nian)來,在人工智能、移動(dong)和高性(xing)能計算應用的驅動(dong)下(xia),半導體(ti)市(shi)場(chang)逐漸(jian)復蘇,市(shi)場(chang)對于先進制(zhi)程產(chan)能的需求非常(chang)旺(wang)盛。據(ju)數(shu)據(ju)預測,全(quan)球芯片制(zhi)造產(chan)能中,10nm以下(xia)制(zhi)程占(zhan)比將(jiang)會大幅提升(sheng),將(jiang)由2021年(nian)的16%上(shang)升(sheng)至(zhi)2024年(nian)近(jin)30%。

另一方面,瞄準先進制(zhi)程的幾大巨頭間的競爭也十分激(ji)烈,都意在通過展示綜合實力獲得(de)更多市場份額。

在這場(chang)晶圓代(dai)工行業(ye)的(de)反擊和(he)保衛戰中,臺(tai)積電、三星和(he)英(ying)特爾(er)都在不斷(duan)創新,爭奪制(zhi)程技術的(de)領先地位。臺(tai)積電會(hui)繼續(xu)“封神”嗎?多面出擊的(de)三星和(he)英(ying)特爾(er),又(you)將奪得(de)幾(ji)杯羹?

臺積電披露工藝路線與前景展望

作(zuo)為(wei)半導(dao)體行(xing)業的領(ling)導(dao)者,臺積電在過去的30多年中立(li)下(xia)赫(he)赫(he)戰功,成(cheng)為(wei)世(shi)界第一大芯片(pian)代工企業。

在半導體科(ke)技的(de)快速演進中,臺積(ji)電一直(zhi)是全球先進制(zhi)程技術(shu)的(de)引(yin)領者。

臺積電工藝路(lu)線圖披露

近(jin)期,臺積電又宣布了一系(xi)列(lie)雄心勃勃的(de)工(gong)藝(yi)路線圖更新,預示著半(ban)導體(ti)制(zhi)造(zao)即(ji)將邁(mai)入一個前所(suo)未有的(de)時(shi)代——?ngstr?m級工(gong)藝(yi)節點到來(lai)。

根據其(qi)工藝路線圖顯(xian)示(shi),在2025年至(zhi)2026年間,臺積電即將(jiang)推出的幾項關鍵工藝技(ji)術(shu),包括N3X、N2、N2P,以及(ji)(ji)革命性(xing)的A16工藝,揭示(shi)它們如何推動技(ji)術(shu)邊(bian)界,以及(ji)(ji)這些進步對電子產(chan)品性(xing)能(neng)、能(neng)耗(hao)和未來技(ji)術(shu)發展的影響。

晶圓代工,戰火蔓延

臺積電工藝路線圖(tu)

N3P:作為N3工(gong)藝的增強版(ban),N3P在性能、功耗和密度(du)方(fang)面進一步優化,為客戶提供更多(duo)選擇。

N3X:面向極致性能(neng)的(de)(de)3納(na)米級工藝,通過(guo)降低(di)電壓(ya)至0.9V,在相(xiang)同頻(pin)率下能(neng)實現7%的(de)(de)功(gong)耗(hao)降低(di),同時在相(xiang)同面積(ji)下提升(sheng)5%的(de)(de)性能(neng)或(huo)增(zeng)加約10%的(de)(de)晶體管密度。

N2:臺(tai)積電(dian)首(shou)個采用(yong)全柵(zha)(GAA)納米(mi)片晶體(ti)管技術(shu)的節點(dian),GAA晶體(ti)管通過環繞溝道四周的柵(zha)極(ji)提高了對電(dian)流的控制能力,從而顯(xian)著(zhu)提升(sheng)PPA特性,相較于(yu)N3E有明顯(xian)進步,N2可使功耗降低25%-30%,性能提升(sheng)10%-15%,晶體(ti)管密度(du)增加(jia)15%。

N2P:N2的(de)性(xing)能(neng)增(zeng)強版本(ben),進一步優化(hua)功耗(hao)和性(xing)能(neng),在(zai)相(xiang)同(tong)晶(jing)體管數量和頻率下,N2P預計(ji)能(neng)降(jiang)低5%-10%的(de)功耗(hao),同(tong)時提(ti)升5%-10%的(de)性(xing)能(neng)。適合對這兩方(fang)面都(dou)有(you)較高要求的(de)應用。

A16:臺積電(dian)的A16工藝首(shou)次(ci)引(yin)入背(bei)(bei)面供電(dian)網絡(luo)技術(shu)(BSPDN),這一(yi)(yi)創新直接將電(dian)源供應集成(cheng)到晶體(ti)(ti)管(guan)的背(bei)(bei)面,極(ji)大地提升(sheng)了(le)電(dian)力傳輸效率和晶體(ti)(ti)管(guan)密(mi)度;同時結合GAAFET納米片晶體(ti)(ti)管(guan),目標是(shi)在性能和能效上有顯著提升(sheng)。A16將成(cheng)為首(shou)個(ge)“埃級(ji)”工藝節(jie)點,標志著半導(dao)體(ti)(ti)制造進入一(yi)(yi)個(ge)新的時代。

晶圓代工,戰火蔓延

與N2P相(xiang)比,A16在相(xiang)同電(dian)壓和(he)(he)復雜度下,預計性能提升8%-10%,功耗降低(di)15%-20%,芯片密度提升了1.1倍。這一技術的(de)(de)引入,將為高性能計算產品,尤其(qi)是那些對能源效率和(he)(he)信號路徑有極高要求(qiu)的(de)(de)應用,開啟新的(de)(de)可能性。

綜合來看,臺積電這(zhe)一系(xi)列工藝技術(shu)創(chuang)新不僅展示了其在半導體制造領(ling)域的(de)(de)持(chi)續領(ling)導力,更(geng)為未來電子產品的(de)(de)性能(neng)升(sheng)級(ji)、能(neng)源效率提升(sheng)以及更(geng)廣泛的(de)(de)技術(shu)革新奠定(ding)了堅(jian)實(shi)基礎。尤其是隨(sui)著“埃(ai)”級(ji)工藝節點的(de)(de)到來,半導體行業正步入一個充滿挑戰與機遇的(de)(de)新時(shi)代。

另外,據了解臺積電的(de)A16制程不依賴于最(zui)新的(de)High-NA EUV技(ji)術(shu),這使(shi)得成本更具競爭力,也符合了當前AI芯片公司對設計最(zui)佳(jia)化的(de)迫切(qie)需求(qiu)。

還值得關注的是,臺積電整個N2系列將增加(jia)全新(xin)的NanoFlex功(gong)(gong)能(neng),該功(gong)(gong)能(neng)允許芯(xin)片(pian)設計(ji)人員在同一塊(kuai)設計(ji)中(zhong)混(hun)合和匹配來自不(bu)同庫(ku)的單元,以優(you)化芯(xin)片(pian)的性能(neng)、功(gong)(gong)率和面積 (PPA)。

晶圓代工,戰火蔓延

相較于(yu)臺積電的(de)(de)N3制(zhi)造工(gong)藝已經支持(chi)類似(si)功能的(de)(de)FinFlex,由于(yu)N2依賴(lai)于(yu)GAAFET晶體管(guan),因此NanoFlex能為臺積電提供(gong)了一些額(e)外的(de)(de)控制(zhi):比如臺積電可以優化通道寬度以提高(gao)性能和(he)(he)功率(lv),然后(hou)構建短單元(yuan)(以提高(gao)面積和(he)(he)功率(lv)效率(lv))或(huo)高(gao)單元(yuan)(以提高(gao)15%的(de)(de)性能)。

時間方面,臺(tai)積電N2工藝將于(yu)(yu)(yu)2025年(nian)(nian)進(jin)入(ru)風險生產(chan),并于(yu)(yu)(yu)2025年(nian)(nian)下半(ban)年(nian)(nian)進(jin)入(ru)大批(pi)量生產(chan);性(xing)能(neng)增強(qiang)型(xing)N2P和(he)電壓(ya)增強(qiang)型(xing)N2X將于(yu)(yu)(yu)2026年(nian)(nian)問世;A16先進(jin)制(zhi)程預(yu)計將于(yu)(yu)(yu)2026年(nian)(nian)下半(ban)年(nian)(nian)推出。

臺(tai)積電市場展(zhan)望:AI需求強勁,車用與工控疲(pi)軟

從市場研究機構(gou)TrendForce集邦咨詢近日公布的2024年第一季(ji)全球(qiu)前(qian)十(shi)大(da)晶圓代工(gong)企業營(ying)(ying)收(shou)排名來看,盡(jin)管AI相關HPC需求相當強勁(jing),TSMC第一季(ji)仍受到智能手機、NB等消(xiao)費性備(bei)貨淡季(ji),營(ying)(ying)收(shou)季(ji)減約(yue)4.1%,收(shou)斂至188.5億美元,由(you)于(yu)其(qi)他競業同(tong)樣面臨消(xiao)費淡季(ji)挑(tiao)戰,因此市占(zhan)維持在61.7%。第二季(ji)隨著主要客戶Apple進入備(bei)貨周(zhou)期,及AI服務器相關HPC芯片需求持續穩(wen)健,有機會帶(dai)動營(ying)(ying)收(shou)呈個位數季(ji)成長率(lv)走勢(shi)。

晶圓代工,戰火蔓延

另有數據顯示,2024年前五個月,臺積電(dian)的營收同比增長27%,遠超行業平均水(shui)平,這表(biao)明臺積電(dian)的市場需求依(yi)然強勁。

目前臺積電(dian)(dian)占據著全球代工市場61%的(de)市場份額(e),遠超排名(ming)第(di)二(er)的(de)三(san)星(xing)的(de)11%。這種市場主導地位(wei)使得臺積電(dian)(dian)在客戶(hu)中具有很高(gao)(gao)的(de)吸(xi)引力,許多頂尖的(de)芯片制(zhi)造商,如Nvidia、AMD、蘋果和(he)高(gao)(gao)通等,都(dou)是其長期(qi)合作伙(huo)伴(ban)。

隨著AI技術(shu)迅(xun)速發展,AI芯片(pian)需求(qiu)呈現爆炸式增長。臺(tai)積電歐亞業(ye)務資深副(fu)總暨副(fu)共同(tong)營運長侯永清(qing)指出,今年(nian)AI需求(qiu)非(fei)常的強勁(jing),手機跟PC業(ye)務也已經(jing)開始緩慢復蘇(su)中,但(dan)是車(che)用與工(gong)控需求(qiu)仍(reng)稍微疲(pi)軟。

從數據來看,與去年(nian)(nian)相(xiang)比,今(jin)(jin)年(nian)(nian)AI加速器增長(chang)大約2.5倍(bei);PC市場今(jin)(jin)年(nian)(nian)會(hui)有1-3%增長(chang);手(shou)機市場在經歷(li)兩年(nian)(nian)衰(shuai)退后(hou)今(jin)(jin)年(nian)(nian)會(hui)增長(chang)1-3%;車用芯(xin)片市場今(jin)(jin)年(nian)(nian)需求疲軟,業(ye)績預(yu)估(gu)衰(shuai)退1-3%;IoT預(yu)估(gu)增長(chang)7-9%,但相(xiang)較過往年(nian)(nian)增幅(fu)20%是呈(cheng)現下滑。

花旗指出(chu),大(da)多數AI GPU目前使用(yong)4/5/7納(na)米(mi)工藝(yi),隨(sui)著AI PC和(he)智能手機的需(xu)求增長,疊加芯片制(zhi)造(zao)技(ji)術的逐(zhu)漸(jian)成熟,預計其中的大(da)多數將在2025年底(di)前遷移到(dao)3納(na)米(mi)工藝(yi)。而(er)作為先進半(ban)導體技(ji)術的領(ling)頭羊(yang),臺積電預計將在2025年獲(huo)得更多3納(na)米(mi)芯片訂(ding)單,特(te)別(bie)是來自(zi)蘋果、高通(tong)和(he)聯(lian)發科等客戶。屆時,臺積電3納(na)米(mi)工藝(yi)的利用(yong)率(lv)將保持(chi)緊張(zhang)狀態(tai)。

臺(tai)積電此前也表(biao)示,預(yu)(yu)計2024年的(de)資本支出在280億(yi)至(zhi)320億(yi)美元之(zhi)間,預(yu)(yu)計2025年可能(neng)增至(zhi)350億(yi)至(zhi)400億(yi)美元,這些巨額(e)預(yu)(yu)算主要用于2/3納米工藝(yi)的(de)研發(fa)和生產。

臺積電通過持續的技術(shu)創新和戰略投資,已經建立了堅實的市場基礎。

全球(qiu)擴建產能,先(xian)進工藝留在臺灣

臺積電(dian)在(zai)(zai)中國臺灣(wan)生(sheng)產了世界上大(da)多(duo)數最先(xian)進的(de)處(chu)理(li)器,但近(jin)年來正在(zai)(zai)改變(bian)戰(zhan)略,在(zai)(zai)將制造業(ye)務留在(zai)(zai)臺灣(wan)的(de)同(tong)時,并在(zai)(zai)美國建立晶圓廠生(sheng)產先(xian)進芯片,在(zai)(zai)日(ri)本生(sheng)產相當先(xian)進的(de)處(chu)理(li)器,并在(zai)(zai)歐洲生(sheng)產專用芯片。

據了解,臺(tai)積(ji)電(dian)自2022年到2023年新建了五(wu)座工(gong)(gong)廠,今(jin)(jin)年在建有七座工(gong)(gong)廠,其(qi)中三個是晶(jing)圓(yuan)廠、兩個是封裝廠,還(huan)有兩個海外晶(jing)圓(yuan)廠,今(jin)(jin)年臺(tai)積(ji)電(dian)先進制(zhi)程(cheng)占67%

在代工方面(mian),在臺灣(wan)新(xin)建的新(xin)竹Fab 20和(he)高(gao)雄Fab 22均為(wei)2nm晶圓廠,目前(qian)已(yi)開始裝(zhuang)機,預計2025年量產。

從全球來(lai)看,臺積(ji)電在(zai)美(mei)國亞利桑(sang)那州(zhou)計劃投資650億美(mei)元(yuan)興(xing)建三(san)座尖(jian)端制程晶圓廠(chang)(chang)。其中,第(di)一座晶圓廠(chang)(chang)已經(jing)開(kai)始裝機,預計明年(nian)(nian)量(liang)產(chan)4nm;2022年(nian)(nian)底動工(gong)的(de)第(di)二(er)座晶圓廠(chang)(chang),預計2028年(nian)(nian)量(liang)產(chan)3nm;第(di)三(san)座晶圓廠(chang)(chang)還在(zai)規劃中,預計2030年(nian)(nian)之前(qian)進入(ru)量(liang)產(chan)。

在日本熊(xiong)本,臺積電計(ji)劃建設兩座(zuo)晶圓廠(chang),熊(xiong)本第一座(zuo)晶圓廠(chang)2022年(nian)4月(yue)動(dong)工,預(yu)計(ji)今年(nian)第四(si)季(ji)度量產(chan)22/28nm和12/16nm制(zhi)程;熊(xiong)本二廠(chang)預(yu)計(ji)2027年(nian)量產(chan)6/7nm制(zhi)程。在德國臺積電將建16nm晶圓廠(chang),預(yu)計(ji)今年(nian)第四(si)季(ji)度動(dong)工,2027年(nian)量產(chan)。

圍繞先進封裝(zhuang),臺積電也在(zai)加速擴大CoWoS和SoIC產能。根(gen)據規劃(hua),到2026年(nian)CoWoS生產線(xian)的(de)年(nian)復合增(zeng)長率將超過60%,到年(nian)底(di)產能將是2023年(nian)的(de)4倍。SoIC產能將比2023年(nian)增(zeng)長8倍,年(nian)復合增(zeng)長率高達100%。

多管齊下,臺積電(dian)拉開(kai)(kai)了其(qi)大膽路線圖的(de)帷(wei)幕,在先進工藝、先進封裝、國際化布局層(ceng)面(mian)勾(gou)勒了宏偉(wei)藍圖。但其(qi)也表示將(jiang)最關(guan)鍵的(de)開(kai)(kai)發留在中國臺灣。

今(jin)年5月,中國(guo)臺(tai)灣新任科技(ji)部(bu)部(bu)長吳正文表示(shi),他(ta)相信臺(tai)積電(dian)能夠保(bao)護其(qi)專有的(de)先進技(ji)術,并在(zai)(zai)向國(guo)際擴張(zhang)的(de)同(tong)時繼續在(zai)(zai)中國(guo)臺(tai)灣建設(she)其(qi)尖端(duan)晶(jing)圓廠。盡管臺(tai)積電(dian)在(zai)(zai)全球發展,但其(qi)最先進的(de)技(ji)術開(kai)發仍(reng)將(jiang)在(zai)(zai)中國(guo)臺(tai)灣得(de)到(dao)保(bao)障。

臺積電:全球工(gong)廠復制計劃

臺(tai)積電近期也證實,其海外晶(jing)圓廠復制(zhi)了中(zhong)國臺(tai)灣首次采用(yong)的技術和工藝配方。

目前業界已(yi)充分認識到,大型(xing)跨國晶(jing)圓廠需具備一套流程來復制(zhi)其設施(shi)。隨著Gigafab(超級晶(jing)圓廠)規(gui)模的(de)擴大,為了保持必(bi)要的(de)生(sheng)產量并避免(mian)由于必(bi)須重新調整晶(jing)圓廠而(er)產生(sheng)的(de)季度瓶頸,芯片制(zhi)造商需能夠迅速將新的(de)及更新的(de)制(zhi)造工(gong)藝應用(yong)到其他設施(shi)。

英(ying)(ying)特爾已因其實施的(de)“精確復制”計劃(hua)而(er)聞(wen)名,該計劃(hua)允(yun)許其全球各地的(de)晶圓廠共享工(gong)藝配方,以提升產(chan)量并降低性能(neng)波動(dong),這成(cheng)為了英(ying)(ying)特爾的(de)主(zhu)要(yao)競(jing)爭(zheng)優勢之(zhi)一(yi)。

同樣(yang),隨著(zhu)臺積電在全(quan)球范圍內不斷增設新(xin)產(chan)能(neng),也開(kai)始實(shi)施類似的計劃,以便盡快提升其(qi)在日本(ben)和美國新(xin)建晶圓廠的產(chan)量和效(xiao)率。

據(ju)悉,臺積電實施了(le)統(tong)一的晶圓廠管(guan)理,以確保全球范(fan)圍內的超(chao)級晶圓廠能實現一致的運營效率和(he)生產質量。同時,還在(zai)全球業務中致力于可持續發展,這涵蓋(gai)了(le)綠色(se)制造、全球人(ren)才培育、供應鏈本地化以及履行社會責任。

談到工(gong)藝技術(shu)的(de)(de)(de)改(gai)進時,主要有兩種主要機制:用(yong)于提高產(chan)量(liang)的(de)(de)(de)持續工(gong)藝改(gai)進(CPI),以及減少性(xing)(xing)能(neng)變化的(de)(de)(de)統計(ji)過程控制(SPC)。為此,通過全球Gigafab制造,臺積電(dian)可以使用(yong)CPI和SPC通過在不(bu)同站點之間共享知(zhi)識來(lai)提高全球范圍內的(de)(de)(de)產(chan)量(liang)和性(xing)(xing)能(neng)。

臺積(ji)電或將啟(qi)動(dong)新一輪漲價

近期,多家芯片廠(chang)商和(he)晶圓代工(gong)廠(chang)陸續宣布價格調整(zheng)。

隨著頭(tou)部(bu)客(ke)戶(hu)(英偉達、AMD、英特爾、高通、聯發科、蘋果及(ji)谷(gu)歌(ge))陸續導入3 納米制(zhi)程,臺積電(dian)(dian)訂(ding)單已(yi)滿至2026年。為此,臺積電(dian)(dian)或將啟動新一輪漲價(jia)談判。

在6月4日的股東大(da)會上(shang),剛(gang)(gang)剛(gang)(gang)全(quan)面掌舵臺積(ji)電的新任董(dong)事長魏哲家表示,目前所有的AI半導體全(quan)部是(shi)由臺積(ji)電生產(chan)。暗示有漲價想(xiang)法(fa),3nm代工報價漲幅或在5%以上(shang)。

需要注意的(de)是,晶圓(yuan)代(dai)工廠(chang)的(de)“內卷”已經(jing)出現(xian)(xian)收斂的(de)信號。雖然目(mu)前晶圓(yuan)廠(chang)漲價尚未成為既定事實,但各大晶圓(yuan)廠(chang)的(de)產(chan)(chan)能利用率(lv)已經(jing)明顯(xian)提升(sheng),不(bu)少廠(chang)商已出現(xian)(xian)滿產(chan)(chan)、甚(shen)至利用率(lv)超100%的(de)情況。

在業(ye)內(nei)看來,晶圓代(dai)工環節稼動率的持(chi)續提升以及部分代(dai)工廠的滿產,未來將帶來價格上漲的彈性。

此外,AI熱潮極大地推升了CoWoS需求(qiu),臺積電先進封裝產能(neng)供不(bu)應(ying)求(qiu)也將延續至2025年(nian)。據(ju)悉,臺積電先進封裝明(ming)年(nian)年(nian)度報價漲幅(fu)在10%-20%。

重重困境下,三星代工如何突圍?

在先進(jin)制程芯片這場(chang)激烈的競(jing)賽中,臺積電(dian)猶(you)如一位穩健的領跑者,而三星則似乎(hu)陷入了重重困(kun)境。

尤其(qi)是在尋求突(tu)破3nm工藝的過程中,三(san)星電子(zi)良率和(he)能效問題(ti)尤為突(tu)出。然(ran)(ran)而(er),隨著全(quan)球無晶(jing)圓廠半導(dao)體公司(si)(si)和(he)科(ke)技(ji)巨(ju)頭紛紛將目光投向3nm工藝,作為主流技(ji)術的未來趨勢已然(ran)(ran)明(ming)朗。在這(zhe)一(yi)(yi)大(da)背景下,臺積(ji)電憑(ping)借其(qi)卓越的技(ji)術實力,吸(xi)引了眾多公司(si)(si)的青睞,英(ying)偉達、AMD、英(ying)特爾(er)、高(gao)通、聯發科(ke)、蘋果(guo)、谷歌等業(ye)界(jie)巨(ju)頭已紛紛決定將訂單交付(fu)給這(zhe)位全(quan)球最大(da)的代工芯片制造(zao)商(shang)。這(zhe)一(yi)(yi)決策無疑進一(yi)(yi)步拉(la)大(da)了臺積(ji)電與三(san)星電子(zi)在市場份額上(shang)的差距。

盡管(guan)三(san)星曾一度(du)在(zai)(zai)3nm工藝領域占據先機(ji),但現實卻(que)頗為殘酷。據報道(dao),三(san)星電(dian)(dian)子(zi)代工部門的原型在(zai)(zai)關(guan)鍵指標上(shang)明顯落(luo)后于臺(tai)積(ji)電(dian)(dian),從而(er)使其在(zai)(zai)這場競賽中失去(qu)了早期的優勢地位。谷歌和(he)高通等公司(si)的選擇更(geng)是對三(san)星的一次沉重打擊,它們在(zai)(zai)經過深思熟(shu)慮后,最(zui)終決(jue)定將訂(ding)單交給(gei)臺(tai)積(ji)電(dian)(dian),這無疑讓三(san)星的處境雪上(shang)加霜。

據TrendForce數據顯示,今年第一季度,三星電(dian)子的(de)(de)代(dai)工市(shi)(shi)場(chang)份額有所(suo)下(xia)滑,而(er)臺積電(dian)的(de)(de)市(shi)(shi)場(chang)份額則有所(suo)上(shang)升(sheng)。這一趨勢預示著未來臺積電(dian)在半導體代(dai)工領(ling)域的(de)(de)地位(wei)將更加穩固(gu),而(er)三星則需要在技術和市(shi)(shi)場(chang)策略上(shang)做(zuo)出更多努力(li)以追趕(gan)領(ling)先(xian)者。

三星(xing)公布(bu)未來(lai)工藝路線圖(tu)

日(ri)前,三(san)星在2024年三(san)星代工論壇上公布了(le)其芯片(pian)制造工藝(yi)技(ji)術(shu)的最新路(lu)線(xian)圖,涉及的重點(dian)包括2納(na)(na)米/1.4納(na)(na)米工藝(yi),以(yi)及將在未來三(san)年內向客戶(hu)提(ti)供(gong)(gong)具有背面供(gong)(gong)電(dian)技(ji)術(shu)的路(lu)線(xian)圖。

其中,SF2節點(以前(qian)稱為SF3P)預計會在2025年推(tui)出,主要針對高性能計算和智能手機應用而設計。與3nm工藝(SF3)相比,三星的2nm工藝性能提升12%,功率(lv)效率(lv)提升25%,面積(ji)減少(shao)5%。

2026年(nian)(nian),三星計劃推出SF2P,這(zhe)是SF2的性能增強版本,其特點是速度(du)更快但(dan)密度(du)更低(di);2027年(nian)(nian),三星將發布SF2Z,該產品將采用背(bei)面供(gong)電(dian)技術(BSPDN),從而提高性能并(bing)增加晶(jing)體管密度(du)。此外,這(zhe)一改進還旨在提高電(dian)源質量和管理壓降(jiang)(IR Drop),以應對先進芯(xin)片生產過程中的關鍵挑戰。

三(san)(san)星(xing)SF1.4節點(1.4納米)計(ji)劃,標(biao)志(zhi)著(zhu)三(san)(san)星(xing)將有望在(zai)2027年(nian)進(jin)入(ru)1.4 納米級別賽道。與SF2Z不同的是,SF1.4將不包括背面電(dian)源傳(chuan)輸,這使(shi)三(san)(san)星(xing)有別于英(ying)特爾和臺積(ji)電(dian),后者將在(zai)其2nm級和1.6nm級節點上引入(ru)背面供電(dian)技術。

晶圓代工,戰火蔓延

除了推出高端節點(dian)外,三星還(huan)發布了SF4U節點(dian),這(zhe)是4納米級(ji)節點(dian)的高性(xing)價比變體,通過光學(xue)收縮提高了功(gong)率、性(xing)能和面積,預計將(jiang)于2025年量(liang)產。

三(san)星最新的(de)(de)工藝技術路線圖展(zhan)示了其在2nm及以(yi)下節點上的(de)(de)持(chi)續創新和(he)發展(zhan)。隨著BSPDN技術的(de)(de)引入(ru)和(he)1.4nm節點的(de)(de)推出(chu),三(san)星致力于在半導體制造(zao)領域保持(chi)領先地位。

在過(guo)去一(yi)年中,三星代(dai)工(gong)的AI需求相關(guan)銷售額增長了80%,預計到2028年,其AI芯(xin)片代(dai)工(gong)客戶數量將(jiang)比2023年增加4倍,代(dai)工(gong)銷售額將(jiang)比2023年增加9倍。

三星(xing)電子正在(zai)開發一種集(ji)成(cheng)了封(feng)裝晶圓代工(gong)(gong)非內(nei)存半導體(ti)和HBM的AI解決方案,旨在(zai)制造出既高性(xing)能(neng)又低能(neng)耗的AI芯片(pian)。與現有(you)技術相比,這一新工(gong)(gong)藝有(you)望將研發到生產的時間縮短大約20%。

三星(xing)電(dian)子總(zong)裁兼代工(gong)業務負責人(ren)崔時永博士表示:“在眾多技術(shu)圍繞人(ren)工(gong)智能(neng)(neng)不斷發展的時代,實現人(ren)工(gong)智能(neng)(neng)的關鍵(jian)在于高性能(neng)(neng)、低功(gong)耗的半導體。除了針對AI芯片優化的成熟GAA工(gong)藝外(wai),我們還計劃推(tui)出集成式共封裝光學 (CPO) 技術(shu),以實現高速、低功(gong)耗的數據(ju)處理,為客(ke)戶提供一站式人(ren)工(gong)智能(neng)(neng)解決方案。”

晶圓代工,戰火蔓延

此外(wai),在(zai)論壇上(shang),三星還分(fen)享了其2027年(nian)采用(yong)硅光子的計(ji)劃(hua),這是(shi)其首(shou)次(ci)宣布此類(lei)計(ji)劃(hua)。利用(yong)光纖(xian)在(zai)芯片上(shang)傳(chuan)輸(shu)數據,與(yu)I/O相比,預計(ji)數據傳(chuan)輸(shu)速(su)度將(jiang)大幅提高。

三星(xing)晶圓(yuan)廠(chang),又丟(diu)客戶

正如上文所(suo)述,客戶陸續決定將訂單交給臺積電(dian),無(wu)疑讓(rang)三(san)星的處境(jing)雪上加霜。

近(jin)日又有消息指出,此前(qian)曾使(shi)用三星代(dai)工廠(chang)的設(she)計公(gong)司Gaonchips作(zuo)為其(qi)生產合作(zuo)伙伴(ban)的DeepX,最(zui)近(jin)與臺(tai)積電的設(she)計公(gong)司合作(zuo)伙伴(ban)Asicland簽署了(le)一(yi)項(xiang)協議,計劃使(shi)用臺(tai)積電的先進節點(dian)來制(zhi)造具有神經處(chu)理單元(NPU)的SoC。

此外,盡(jin)管(guan)三星為AMD提供3nm制程服務的傳聞已久(jiu),但AMD CEO Lisa Su在2024年臺(tai)北國際電腦展的發布(bu)會上強調,公司(si)仍在與臺(tai)積電合(he)作。

不難看出,搶奪競爭對手的先進制(zhi)程訂單有(you)多么困難。

除了(le)上面(mian)提到的3nm客(ke)戶丟失外,三星的4nm工藝同(tong)樣(yang)在此付(fu)出了(le)代價。

本來(lai)高(gao)通(tong)連(lian)續兩代芯(xin)片交(jiao)給三星(xing)代工(gong),但是據說三星(xing)的4nm工(gong)藝只(zhi)有35%的良率(lv),這使得(de)產能始(shi)終上不去,導(dao)致高(gao)通(tong)不得(de)不把訂單(dan)轉(zhuan)給了臺積電,讓后(hou)(hou)者為其代工(gong)4nm的驍(xiao)龍(long)(long)(long)8 Gen 1 Plus芯(xin)片。后(hou)(hou)續從(cong)驍(xiao)龍(long)(long)(long)8+、驍(xiao)龍(long)(long)(long)8 Gen 2到驍(xiao)龍(long)(long)(long)7+芯(xin)片,高(gao)通(tong)都已經轉(zhuan)向了臺積電。

英偉達(da)的RTX 40顯(xian)卡也放(fang)棄了三星,改(gai)用臺積電的5nm工藝,且未來(lai)這(zhe)些廠商(shang)都會繼(ji)續和臺積電合作。

能(neng)看(kan)到,三(san)星(xing)為(wei)自己芯(xin)片工藝(yi)的(de)良(liang)率付出了慘痛的(de)教訓(xun),后續其工藝(yi)制程除了要跟上節奏(zou)之(zhi)外,三(san)星(xing)晶圓(yuan)代(dai)工部門還需要全力以赴來提高良(liang)率,否則(ze)因良(liang)率不(bu)高導致(zhi)無人問津的(de)故事(shi)或(huo)將重演。

英特爾代工,瞄向尖端節點

新一(yi)輪(lun)AI浪潮引發的算(suan)力需求急速膨脹,在將GPU之王英偉達捧上神壇的同(tong)時,也(ye)讓英特爾這(zhe)位CPU霸主顯得(de)有些(xie)落(luo)寞。

2024年第一季度財報顯示,英(ying)特爾(er)營收保持(chi)增長,但(dan)利潤卻無(wu)較大起(qi)色(se)。但(dan)面對競爭(zheng),英(ying)特爾(er)仍有沖勁,從(cong)其大舉押(ya)注AI芯片(pian)及芯片(pian)代(dai)工的動作來看,英(ying)特爾(er)正在全新AI時代(dai)找回(hui)失落的王座(zuo)。

從代工業務(wu)來看,英特(te)爾也(ye)同樣(yang)在積極推進其戰(zhan)略(lve)目標尖端節點的開(kai)發(fa)。

英特爾(er)近期宣布(bu),已經開(kai)始(shi)為客(ke)戶批量(liang)生產intel 3工藝(yi),Intel 3代表了英特爾(er)IDM 2.0戰略中的第三個工藝(yi)節點,該戰略旨(zhi)在四年內開(kai)發五個工藝(yi)節點,并將成為第一個針對代工廠制造的先(xian)進節點。

晶圓代工,戰火蔓延

Intel 3工藝節點帶(dai)來的一些重大優(you)勢包括(kuo)更(geng)(geng)密(mi)集的設(she)計(ji)庫、更(geng)(geng)大的晶體(ti)管(guan)驅動電流和更(geng)(geng)多EUV的使用。該(gai)節點還有三種(zhong)變(bian)體(ti),包括(kuo)3-T、3-E 和 3-PT。前兩種(zhong)變(bian)體(ti)與Intel 4相比,每瓦性(xing)能提升了18%,而(er)PT則帶(dai)來了額外的性(xing)能并且易于使用。所(suo)有四(si)種(zhong)節點變(bian)體(ti)都(dou)支持(chi)240nm高(gao)性(xing)能和210nm高(gao)密(mi)度庫。其中(zhong),“T”代表(biao)硅通(tong)孔 (TSV),這是一種(zhong)垂直方向的電氣連接,可實現芯(xin)片(pian)元件之間或堆疊芯(xin)片(pian)之間的高(gao)速(su)互連。

晶圓代工,戰火蔓延

在英特爾(er)看來(lai),Intel 3將(jiang)在未來(lai)至(zhi)少十年內長期支(zhi)持代(dai)工廠(chang)客戶,從(cong)而為汽車和物聯網等需要更長生(sheng)(sheng)命周期的應用打開大(da)門。Intel 3的生(sheng)(sheng)產(chan)爬(pa)坡不僅僅是一項制造成就(jiu),它(ta)代(dai)表了英特爾(er)代(dai)工廠(chang)的一個重要里(li)程碑和證明點。

值得一提的是,Intel 3節點是英特爾(er)路線(xian)圖上的最后一代FinFET節點,因為從(cong)下一代開(kai)(kai)始,英特爾(er)就開(kai)(kai)始推出了其GAA晶體管RibbonFET。

在(zai)5月(yue)的財(cai)報電話會議(yi)上,Intel CEO帕特·基辛(xin)格(Pat Gelsinger)指出(chu),公(gong)司(si)的第一代(dai)GAA RibbonFET工藝,即intel 20A,有望在(zai)今年(nian)(nian)推出(chu);后續(xu)產品(pin)是intel 18A,預(yu)計將(jiang)于(yu)2025年(nian)(nian)上半年(nian)(nian)投入生產,并(bing)逐(zhu)步推進至2027年(nian)(nian)的Intel 10A節點。

晶圓代工,戰火蔓延

英(ying)特(te)(te)爾兩(liang)大利器分別是RibbonFET和PowerVia技(ji)術(shu):RibbonFET是英(ying)特(te)(te)爾對GAA晶體管的實(shi)現,它將成為英(ying)特(te)(te)爾自2011年率先推出FinFET以來的首個(ge)全(quan)新晶體管架(jia)構;PowerVia是英(ying)特(te)(te)爾獨有的、業界首個(ge)背(bei)面電能傳(chuan)(chuan)輸網絡,通過消除晶圓正面供(gong)電布(bu)線需求來優化(hua)信號傳(chuan)(chuan)輸。

在(zai)英特(te)爾的(de)規劃中,還將(jiang)率(lv)先采用ASML最(zui)新的(de)High-NA EUV光刻(ke)機,這也(ye)是與(yu)競爭對手(shou)不同的(de)點(dian)。英特(te)爾表示(shi),新工具能夠大幅提高下一代(dai)處理器的(de)分辨率(lv)和功(gong)能擴展能力,使英特(te)爾代(dai)工廠(chang)能夠在(zai)英特(te)爾18A之(zhi)后(hou)繼續(xu)保持工藝領先地位。

與(yu)此同時(shi),英特爾也在(zai)持(chi)續加大力度,今(jin)年2月公布了(le)Intel 14A制(zhi)程,采用(yong)了(le)High-NA EUV技術,預(yu)計最(zui)快于2026年量產。而最(zui)新(xin)的14A-E版本(ben)則在(zai)14A基礎上進一步提(ti)升了(le)能耗效率。

晶圓代工,戰火蔓延

英(ying)特(te)爾強調了與ASML TWINSCAN EXE:5000 High-NA EUV光刻設備結合的(de)重(zhong)要性,這將為英(ying)特(te)爾的(de)制(zhi)程技術帶來新的(de)突破,進一步推動(dong)了制(zhi)程藍圖(tu)的(de)實(shi)現。

英特爾:力爭成為全球第二大代工廠

英特爾(er)的“全新制(zhi)(zhi)程(cheng)技術路線圖”證實,其(qi)“四年(nian)五個制(zhi)(zhi)程(cheng)節(jie)點”路線圖仍在穩(wen)步推進(jin),并將(jiang)在業內率先(xian)提(ti)供(gong)背面供(gong)電解決方案。英特爾(er)預計將(jiang)于2025年(nian)通過Intel 18A制(zhi)(zhi)程(cheng)節(jie)點重(zhong)獲制(zhi)(zhi)程(cheng)領先(xian)性。

能看到,英特(te)爾正在(zai)規劃一條新的(de)道路,試圖讓自己成(cheng)為晶圓(yuan)代工市場的(de)重要參與者,與臺(tai)積(ji)電、三星等(deng)晶圓(yuan)代工廠競(jing)爭(zheng),希(xi)望重新獲得全球領先(xian)芯片制(zhi)造(zao)商的(de)地位。

英特爾的目標(biao)是在2030年成為(wei)全球第二大的半導體制造(zao)工(gong)廠。為(wei)了實現(xian)這(zhe)一目標(biao),英特爾正在加強執行(xing)力(li),推動面(mian)向(xiang)AI時代(dai)的系統級代(dai)工(gong),打造(zao)前沿并具多元化的制造(zao)能(neng)力(li)。

此外,英特爾(er)(er)還重點介紹了其在成熟(shu)制(zhi)(zhi)程節(jie)(jie)點上的(de)進(jin)展(zhan),如(ru)今(jin)年(nian)1月(yue)份(fen)宣布與UMC聯(lian)合開(kai)發的(de)全新12納米(mi)節(jie)(jie)點。英特爾(er)(er)代工計劃每兩年(nian)推出(chu)一(yi)個新節(jie)(jie)點,并一(yi)路推出(chu)節(jie)(jie)點的(de)演化版本,通(tong)過英特爾(er)(er)領先的(de)制(zhi)(zhi)程技術幫(bang)助客(ke)戶(hu)不斷改進(jin)產品(pin)。

英特爾(er)代(dai)工還宣布將(jiang)FCBGA 2D+納入英特爾(er)代(dai)工先進系統(tong)封裝及測試(shi)(Intel Foundry ASAT)的技術組合之中,這一組合將(jiang)包括(kuo)FCBGA 2D、FCBGA 2D+、EMIB、Foveros和Foveros Direct等技術。

英特爾代工策略(lve)&;換帥(shuai)

自從Pat Gelsinger于2021年重返(fan)英(ying)特爾(er)CEO職位以(yi)來,公(gong)司(si)便積極調整戰略,致力于擺脫傳統產品和(he)制(zhi)造技術難以(yi)按(an)計劃實現的困境。蓋爾(er)辛格領導下的英(ying)特爾(er),以(yi)“IDM2.0”為(wei)核心戰略,持續推動新(xin)產品和(he)制(zhi)造技術的研發與落(luo)地。

作(zuo)為IDM2.0戰略(lve)的(de)(de)重要組成(cheng)部分,英特(te)爾推(tui)出了(le)(le)IFS(Intel Foundry Service)業務,與傳(chuan)統的(de)(de)制造(zao)模(mo)式不同,IFS將英特(te)爾的(de)(de)制造(zao)設施開放給(gei)除Intel產(chan)品部門外的(de)(de)其他公司,承接它(ta)們設計的(de)(de)半導體(ti)產(chan)品的(de)(de)制造(zao)。這一創新模(mo)式不僅拓展了(le)(le)英特(te)爾的(de)(de)業務范圍,也為其帶(dai)來了(le)(le)更多的(de)(de)合作(zuo)機會和市場空(kong)間。

半導(dao)體產業(ye)被譽(yu)為“規(gui)(gui)模(mo)(mo)經濟(ji)”的(de)代表,生產規(gui)(gui)模(mo)(mo)對制造效率具有重(zhong)要影響。當前(qian),諸如TSMC等專注于受托制造高性能半導(dao)體的(de)廠商,在規(gui)(gui)模(mo)(mo)上已經超越了(le)僅面(mian)向(xiang)Intel制造的(de)Intel制造部門。然(ran)而(er),英特爾通過(guo)IFS業(ye)務的(de)推(tui)出(chu),正積(ji)極尋求(qiu)在規(gui)(gui)模(mo)(mo)和效率上的(de)平(ping)衡,以實現(xian)更(geng)大(da)的(de)市場份額和競爭優(you)勢。

英特(te)爾(er)通過(guo)明確的(de)(de)(de)目標、積極的(de)(de)(de)路線(xian)圖、創新的(de)(de)(de)IDM2.0戰(zhan)略以及IFS業務的(de)(de)(de)推出,正逐步(bu)在半導體(ti)產業中展現出其強大的(de)(de)(de)競爭力和(he)領導力。

在(zai)一個多月前,英特(te)爾宣布(bu)聘請(qing)了資(zi)深(shen)行業(ye)人士(shi)凱文·奧(ao)巴克(ke)利(li)擔(dan)任(ren)其代(dai)工芯片制造業(ye)務的(de)(de)高(gao)級副總裁兼總經理,標志著英特(te)爾在(zai)代(dai)工領域的(de)(de)新一輪戰略布(bu)局正式啟動(dong)。奧(ao)巴克(ke)利(li)在(zai)IBM、格芯、Avera Semiconductor以及(ji)Marvell等知名企業(ye)擔(dan)任(ren)過高(gao)級職(zhi)位(wei)

奧巴克利的(de)(de)(de)到(dao)來,無疑為(wei)英(ying)特(te)爾的(de)(de)(de)代(dai)工業(ye)務(wu)注入(ru)了新的(de)(de)(de)活力。他將(jiang)在5月底接替即將(jiang)退休(xiu)的(de)(de)(de)斯圖·潘恩,成為(wei)執(zhi)行(xing)領導團隊的(de)(de)(de)一員,直接向CEO帕特(te)·基辛格匯(hui)報工作。這(zhe)一變動,不僅體現(xian)了英(ying)特(te)爾對于代(dai)工業(ye)務(wu)的(de)(de)(de)重(zhong)視,也彰顯了其對于未來半導體市場(chang)發展(zhan)趨(qu)勢的(de)(de)(de)深刻洞(dong)察(cha)。

隱瞞巨額虧損(sun),英特(te)爾面臨集體訴訟(song)

據(ju)外(wai)媒近日(ri)披露(lu),英特爾正面臨(lin)一項集體訴訟,投(tou)資人指控其涉隱(yin)瞞“英特爾代工服(fu)務”部門約70億美元的巨(ju)額虧損。

報道稱,投(tou)資(zi)人指(zhi)控(kong)英特爾在今年1月(yue)報告2023年業(ye)績時,沒(mei)有(you)正確披(pi)露制造部門的虧損情況。訴(su)狀指(zhi)控(kong),英特爾夸大(da)其(qi)代工服(fu)務(wu)部門的成長和利潤,該部門在2023年實際遭(zao)受巨額虧損,產品利潤也下降,這使得(de)公司及其(qi)代工策略的正面表態具有(you)誤導性,涉(she)嫌虛(xu)假(jia)陳述或隱瞞行為。

不僅(jin)如此,英特爾還將(jiang)約30%的產(chan)能外包給臺(tai)積(ji)電等晶圓(yuan)代(dai)工廠商,這一(yi)行為(wei)也進一(yi)步激怒了投資者。

訴狀具體(ti)列(lie)舉了英特(te)爾(er)涉嫌的虛假陳(chen)述或隱瞞行為,包括:

英特(te)爾代工服(fu)務(wu)的成(cheng)長并不(bu)代表內部部門可報告(gao)的收入成(cheng)長;

英特(te)爾(er)代工部門在2023 年出現重大經營虧(kui)損;

由于內部收入下降,該部門的(de)產品(pin)利潤出現下滑(hua);

因此,代工模式不會成為公司整合封裝測試(IFS)策略的有(you)力推(tui)手;

由于(yu)上(shang)述原因(yin),被告關于(yu)公(gong)司業務、營運和前景的積極(ji)表態在實質上(shang)具有誤導性或(huo)缺(que)乏合理(li)依據(ju)。

此(ci)訴訟由(you)Levi &; Korsinsky律師事務所(suo)發起(qi),該所(suo)呼吁英特(te)爾投資(zi)者加入針對該公(gong)司的集體訴訟。

先進制程之外,

三巨頭“火拼”先進封裝

從三(san)家代工巨(ju)頭的路線圖(tu)來看(kan),先進(jin)晶圓代工市場競(jing)爭激(ji)烈,臺積電和三(san)星在先進(jin)制(zhi)程上競(jing)爭,英特爾四年五個節點制(zhi)程開發依計劃(hua)進(jin)行中。

三大(da)晶圓(yuan)代工巨頭動(dong)作頻頻,正在進行新一輪(lun)的(de)競爭激戰。

晶圓代工,戰火蔓延

數據(ju)參考:全球(qiu)半導體觀察

誰將成為(wei)下一個晶圓代工業(ye)的(de)“王者”或(huo)許仍(reng)是一個懸而未決的(de)問題,但可以確定的(de)是,持續(xu)的(de)創新(xin)和技(ji)術突破(po)將成為(wei)決定性因素。

而另一邊(bian),在(zai)摩爾定律逐漸放(fang)緩(huan)的(de)趨勢下,僅僅從微(wei)縮(suo)晶(jing)體管,提高密度(du)以提升(sheng)芯(xin)片(pian)性能的(de)角度(du)正在(zai)失效。對此,先進封裝成為后摩爾時代彌補芯(xin)片(pian)性能和(he)成本的(de)重要解決方案之一。

這也成為(wei)了晶圓代工巨頭們的(de)新戰場。

其中,臺積電是全球先(xian)(xian)進封裝技術(shu)的(de)領軍者,旗下(xia)3D Fabric擁有(you)CoWoS、InFO、SoIC等多種先(xian)(xian)進封裝工藝。

晶圓代工,戰火蔓延

CoWoS是臺(tai)積電(dian)最經典(dian)的(de)先進封裝技術之一(yi)。2011年至今(jin),臺(tai)積電(dian)的(de)CoWoS工(gong)藝(yi)已經迭代至第五代,期(qi)間(jian)中介層面積、晶體管數量、內存(cun)容量不(bu)斷擴大。英偉達、AMD、博通、Marvell等都是臺(tai)積電(dian)CoWoS工(gong)藝(yi)的(de)大客戶(hu)。

晶圓代工,戰火蔓延

臺(tai)積電CoWoS結構示意圖

自AIGC爆火之后,CoWoS也隨之成為行業焦點,行業重量級(ji)客(ke)戶持(chi)續對(dui)臺(tai)積(ji)電追(zhui)加CoWoS訂單。為應(ying)對(dui)大客(ke)戶需求,臺(tai)積(ji)電加快CoWoS先(xian)進封裝(zhuang)產能擴充腳(jiao)步。

此外,臺積電還開發了廉價版的(de)CoWoS技術(shu)(shu),即InFO技術(shu)(shu),降低了單位成本和封裝高度。這(zhe)也是InFO技術(shu)(shu)在移(yi)動應用和HPC市場成功(gong)的(de)重(zhong)要原因,為臺積電后來能(neng)獨占蘋果A系列處(chu)理(li)器打(da)下了關鍵基(ji)礎。

除了CoWoS和InFO,2018年,臺積(ji)電(dian)首度對外界(jie)公布了創新(xin)的系統整合單(dan)芯(xin)片(SoIC)多芯(xin)片3D堆(dui)疊技術SoIC,這標志著臺積(ji)電(dian)已具備直接為(wei)客戶生產3D IC的能(neng)力。作為(wei)業(ye)內第一個(ge)高密度3D chiplet堆(dui)疊技術,SoIC被看作“3D封裝最前(qian)沿”技術。

憑借(jie)其(qi)凸塊密度更(geng)(geng)高(gao),傳輸速度更(geng)(geng)快(kuai),功耗更(geng)(geng)低等優勢,SoIC或(huo)將成為(wei)行業(ye)未來發(fa)展(zhan)的主要封裝技術(shu)趨勢。當前,臺積(ji)電(dian)也正在積(ji)極上(shang)調SoIC的產能(neng)(neng)計(ji)劃,計(ji)劃到2024年年底,月產能(neng)(neng)躍升至(zhi)5000-6000顆,以應對(dui)未來AI和HPC的強勁需求(qiu)。

可見,臺積電憑借其領(ling)先的先進封(feng)裝技術吃盡紅利(li)。

當(dang)然,英特爾(er),三(san)星兩位強敵在此領(ling)域也絲毫不敢懈怠。

英特爾通過多年(nian)技術探索,相繼推出(chu)了EMIB、Foveros和(he)Co-EMIB等多種先進封裝技術,在互連密度、功率效率和(he)可(ke)擴(kuo)展性三個(ge)方面持(chi)續精進。

從英特(te)爾發布的(de)先(xian)(xian)進封裝技術藍圖來看,其計劃將傳(chuan)統基(ji)(ji)板(ban)轉為更為先(xian)(xian)進的(de)玻璃材(cai)質基(ji)(ji)板(ban),以實現新的(de)超越(yue);同時(shi)英特(te)爾也(ye)將在(zai)布局硅(gui)光(guang)模塊中的(de)CPO(共封裝光(guang)學)技術,通過玻璃材(cai)質基(ji)(ji)板(ban)設(she)計,利用(yong)光(guang)學傳(chuan)輸(shu)的(de)方(fang)式(shi)增(zeng)加信號交換時(shi)的(de)可用(yong)頻(pin)寬,以優化(hua)算力成本。

晶圓代工,戰火蔓延

英(ying)(ying)特爾先進封裝路線圖(圖源:英(ying)(ying)特爾官網)

結合英特爾(er)在先進(jin)制(zhi)程上一系(xi)列動態,外界預期(qi),英特爾(er)將結合先進(jin)制(zhi)程與(yu)先進(jin)封(feng)裝兩條(tiao)線,希冀在晶圓代(dai)工領域實(shi)現“1加1大于2”的(de)效果。

三星(xing)(xing)在2.5D/3D先(xian)進封裝技術(shu)領(ling)域同樣(yang)也在積(ji)極布局,并已經推(tui)出I-Cube、X-Cube等先(xian)進封裝技術(shu)。針(zhen)對2.5D封裝,三星(xing)(xing)推(tui)出的(de)I-Cube技術(shu)可以和臺積(ji)電的(de)CoWoS技術(shu)相媲美。針(zhen)對3D封裝,三星(xing)(xing)在2020年推(tui)出X-Cube技術(shu),將硅晶圓或芯片物理堆疊,并通過(guo)TSV連接,最(zui)大程度上縮短了互聯長度,在降低功耗的(de)同時(shi)提高傳輸速率(lv)。

另(ling)外,三星計劃在2024年量產可處(chu)理(li)比普通(tong)凸塊(kuai)更多數據的X-Cube封裝(zhuang)技術,并預計2026年推(tui)出比X-Cube處(chu)理(li)更多數據的無(wu)凸塊(kuai)型封裝(zhuang)技術。擁有(you)從(cong)存儲(chu)器、處(chu)理(li)器芯(xin)片的設計、制造到先進(jin)封裝(zhuang)業務組合的優(you)勢。

晶圓代工,戰火蔓延

三星先進封裝技術路(lu)線圖(圖源:方(fang)正*券研(yan)究所)

綜合(he)來看(kan),先進芯片是(shi)(shi)工(gong)藝(yi)(yi)的(de)決戰,也是(shi)(shi)先進封裝(zhuang)的(de)交鋒。先進封裝(zhuang)與制(zhi)程(cheng)工(gong)藝(yi)(yi)可謂相輔相成(cheng),在提(ti)高(gao)芯片集成(cheng)度、加強互聯、性(xing)能優化的(de)過程(cheng)中扮演了重要角色,是(shi)(shi)性(xing)能持續提(ti)升的(de)重要保障。對于在工(gong)藝(yi)(yi)節點時(shi)間上的(de)爭奪,先進封裝(zhuang)已成(cheng)為三大巨(ju)頭“不可忽視(shi)”變數。

更多先(xian)進封裝詳(xiang)細(xi)技(ji)術細(xi)節和廠商布局(ju)情況,筆者在此前(qian)文(wen)章《臺(tai)積電“攻(gong)防戰”》、《先(xian)進封裝大戰,升級!》中有(you)過詳(xiang)細(xi)介(jie)紹,更詳(xiang)細(xi)內容(rong)可跳(tiao)轉前(qian)文(wen)了解。

寫在最后

Counterpoint數據顯示(shi),半(ban)導體行(xing)(xing)業(ye)(ye)在2024年第一(yi)季度(du)(du)已(yi)顯露(lu)出需(xu)求(qiu)復蘇(su)的跡象。行(xing)(xing)業(ye)(ye)在經過連續幾個季度(du)(du)去庫存(cun)后,渠道庫存(cun)已(yi)經趨于(yu)正常化(hua)。AI的強勁需(xu)求(qiu)和終(zhong)端產品需(xu)求(qiu)的復蘇(su)將(jiang)成為2024年晶圓代工行(xing)(xing)業(ye)(ye)的主要(yao)增長動力。

隨(sui)著(zhu)全球(qiu)經濟的(de)逐步(bu)復(fu)蘇(su)和半(ban)導體需求(qiu)的(de)增加(jia),這些確實可能會幫助(zhu)晶(jing)圓代工廠抓住市場機遇,提高產能利用率,并增強與(yu)客戶的(de)合作關系。

然而,半(ban)導體行(xing)業(ye)是(shi)一個高度競爭(zheng)和快速變(bian)化的領域,晶圓(yuan)廠需(xu)要不斷創新和調(diao)整戰略,以適應(ying)市場(chang)的長期變(bian)化。此外,還需(xu)要關注(zhu)全球供應(ying)鏈的穩定性、技術(shu)進步以及(ji)政策(ce)和地緣政治因素的影(ying)響。

回到行業格局來(lai)看,臺積電一(yi)家(jia)的(de)(de)(de)產能占比縱然超過60%,但(dan)仍難(nan)以完全(quan)維持龐大的(de)(de)(de)先進(jin)制程市(shi)場(chang)。哪(na)怕三星(xing)和(he)英特爾的(de)(de)(de)芯片會陷(xian)入(ru)性(xing)能或良率“滑鐵盧”的(de)(de)(de)風險,也(ye)依(yi)舊(jiu)會有(you)廠商在產能和(he)價格因素的(de)(de)(de)驅動下(xia),愿意去(qu)“嘗(chang)嘗(chang)螃蟹”。

未來,圍繞先(xian)進工藝(yi)和先(xian)進封裝的技術(shu)之爭將在臺積電、三星和英特(te)爾之間(jian)長期進行,代工三巨頭的拉鋸戰(zhan)也將成為推動(dong)摩爾定力繼(ji)續前(qian)行的動(dong)力,推動(dong)下(xia)一個(ge)“彎道”時刻的到來。

晶圓 代工 半導體(ti)
評論
還可輸入300個字
專欄介紹
半導體行業觀察
43篇文章
最有深度的半導體新媒體,實訊、專業、原創、深度,50萬半導體精英關注!專注觀察全球半導體最新資訊、技術前沿、發展趨勢。《摩爾精英》《中國集成電路》共同出品,歡迎訂閱摩爾旗下公眾號:摩爾精英MooreElite、摩爾芯聞、摩爾芯球
+關注
400-858-9000
免費服務熱線
郵箱
09:00--20:00
服務時間
投訴電話
投融界App下載
官方微信公眾號
官方微信小程序
Copyright ? 2024 浙江投融界科技有限公司(xxccv.cn) 版權所有 | ICP經營許可證:浙B2-20190547 | | 浙公網安備330號
地址:浙江省杭州市西湖區留下街道西溪路740號7號樓301室
浙江投融界科技有限公司xxccv.cn版權所有 | 用戶協議 | 隱私條款 | 用戶權限
應用版本:V2.7.8 | 更新日期:2022-01-21
 
在線客服
微信訂閱